AM3352BZCZA100 Microprocessors – MPU ARM Cortex-A8 MPU

Tsanangudzo Pfupi

Vagadziri: Texas Instruments
Product Category: Microprocessors - MPU
Data Sheet:AM3352BZCZA100
Tsanangudzo:IC MPU SITARA 1.0GHZ 324NFBGA
RoHS chimiro: RoHS Inoenderana


Product Detail

Features

Applications

Product Tags

♠ Tsanangudzo yeChigadzirwa

Product Attribute Attribute Value
Mugadziri: Texas Instruments
Product Category: Microprocessors - MPU
RoHS: Details
Mounting Style: SMD/SMT
Pakeji/Nyaya: PBGA-324
Series: AM3352
Core: ARM Cortex A8
Nhamba yeCores: 1 Core
Data Bus Width: 32 zvishoma
Maximum Clock Frequency: 1 GHz
L1 Cache Instruction Memory: 32 kB
L1 Cache Data Memory: 32 kB
Operating Supply Voltage: 1.325 V
Minimum Operating Temperature: - 40 C
Maximum Operating Temperature: + 125 C
Kurongedza: Tray
Brand: Texas Instruments
Data RAM saizi: 64 kB, 64 kB
Data ROM saizi: 176 kB
Development Kit: TMDXEVM3358
I/O Voltage: 1.8 V, 3.3 V
Rudzi rweInterface: CAN, Ethernet, I2C, SPI, UART, USB
L2 Cache Instruction / Data Memory: 256 kB
Memory Type: L1/L2/L3 Cache, RAM, ROM
Inonzwa Kunyorova: Ehe
Nhamba Yenguva/Makaunda: 8 Timer
processor Series: Sitara
Product Type: Microprocessors - MPU
Factory Pack Quantity: 126
Subcategory: Microprocessors - MPU
Tradename: Sitara
Watchdog Timers: Watchdog Timer
Unit Weight: 1.714 g

♠ AM335x Sitara™ processors

Iyo AM335x microprocessors, yakavakirwa paARM Cortex-A8 processor, inokwidziridzwa nemufananidzo, girafu kugadzirisa, peripherals uye maindasitiri interface sarudzo senge EtherCAT uye PROFIBUS.Midziyo inotsigira yakakwirira-level operating systems (HLOS).processor SDK Linux® uye TI-RTOS inowanikwa mahara kubva kuTI.

Iyo AM335x microprocessor ine subsystems inoratidzwa muFunctional Block Diagram uye tsananguro pfupi yeimwe neimwe inotevera:

Iyo ine subsystems inoratidzwa muFunctional Block Diagram uye tsananguro pfupi yeimwe neimwe inotevera:

Iyo microprocessor unit (MPU) subsystem yakavakirwa paARM Cortex-A8 processor uye iyo PowerVR SGX™ Graphics Accelerator subsystem inopa 3D mifananidzo yekukurumidza kutsigira kuratidza uye mutambo mhedzisiro.Iyo PRU-ICSS yakaparadzana kubva kune iyo ARM musimboti, ichibvumira yakazvimirira kushanda uye wachi yekuwedzera kunyatsoita uye kuchinjika.

Iyo PRU-ICSS inogonesa mamwe peripheral interfaces uye chaiyo-nguva maprotocol akadai seEtherCAT, PROFINET, EtherNet/IP, PROFIBUS, Ethernet Powerlink, Sercos, nevamwe.Pamusoro pezvo, hunhu hunogoneka hwePRU-ICSS, pamwe nekuwana kwayo mapini, zviitiko uye zvese system-on-chip (SoC) zviwanikwa, inopa kuchinjika mukuita nekukurumidza, chaiyo-nguva mhinduro, yakasarudzika data kubata mashandiro, tsika yekutenderera nzvimbo. , uye mukuburitsa mabasa kubva kune mamwe ma processor cores eSoC.


  • Zvakapfuura:
  • Zvinotevera:

  • • Kusvika 1-GHz Sitara™ ARM® Cortex® -A8 32-Bit RISC Processor

    - NEON™ SIMD Coprocessor

    - 32KB yeL1 Mirayiridzo uye 32KB yeData Cache Ine Imwe-Kanganiso Kuonekwa (Parity)

    - 256KB yeL2 Cache Iine Kukanganisa Kugadzirisa kodhi (ECC)

    - 176KB yeOn-Chip Boot ROM

    - 64KB yeYakatsaurirwa RAM

    – Emulation uye Debug – JTAG

    - Kukanganisa Controller (kusvika ku128 Kukanganisa Zvikumbiro)

    • On-Chip Memory (Yakagovaniswa L3 RAM)

    - 64KB yeGeneral-Purpose On-Chip Memory Controller (OCMC) RAM

    -Inowanikwa kune Vese Masters

    - Inotsigira Kuchengeta Kwekukurumidza Kumuka

    • External Memory Interfaces (EMIF)

    - mDDR(LPDDR), DDR2, DDR3, DDR3L Controller:

    - mDDR: 200-MHz Clock (400-MHz Data Rate)

    - DDR2: 266-MHz Clock (532-MHz Data Rate)

    - DDR3: 400-MHz Clock (800-MHz Data Rate)

    - DDR3L: 400-MHz Clock (800-MHz Data Rate)

    - 16-Bit Data Bhazi

    - 1GB yeTotal Addressable Space

    -Inotsigira Imwe x16 kana maviri x8 Memory Chishandiso Configurations

    - General-Purpose Memory Controller (GPMC)

    - Flexible 8-Bit uye 16-Bit Asynchronous Memory Interface Inosvika Kunomwe Chip Sarudzo (NAND, NOR, Muxed-NOR, SRAM)

    - Inoshandisa BCH Code Kutsigira 4-, 8-, kana 16-Bit ECC

    - Inoshandisa Hamming Code Kutsigira 1-Bit ECC

    -Kukanganisa Locator Module (ELM)

    -Inoshandiswa pamwe chete neGPMC kutsvaga Kero dzeData Kukanganisa kubva kuSyndrome Polynomials Yakagadzirwa Uchishandisa BCH Algorithm.

    -Inotsigira 4-, 8-, uye 16-Bit pa512-Byte Block Kanganiso Nzvimbo Yakavakirwa paBCH Algorithms

    • Programmable Real-Time Unit Subsystem uye Industrial Communication Subsystem (PRU-ICSS)

    -Inotsigira maProtocol akadai seEtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™, uye Zvimwe

    - Zvikamu zviviri zvinorongwa zvenguva chaiyo (PRUs)

    - 32-Bit Mutoro/Chitoro RISC processor Inokwanisa Kumhanya pa200 MHz

    - 8KB yeMirairo RAM ine Imwechete-Kanganiso yekuona (Parity)

    - 8KB yeData RAM Iine Imwe-Kanganiso Yekuona (Parity)

    -Single-Cycle 32-Bit Multiplier ine 64-Bit Accumulator

    - Yakavandudzwa GPIO Module Inopa Shift In / Kunze Tsigiro uye Parallel Latch pane Yekunze Signal

    - 12KB yeYakagovaniswa RAM Iine Imwe-Kanganiso Kuonekwa (Parity)

    -Matatu 120-Byte Rejista Mabhangi Anowanikwa nePRU yega yega

    -Kukanganisa Controller (INTC) yeKubata System Input Zviitiko

    -Nharaunda Yekubatanidza Bhazi reKubatanidza Vemukati uye Vekunze Masters kune Zviwanikwa Mukati mePRU-ICSS

    - Peripherals Mukati mePRU-ICSS:

    - Imwe UART Port ine Kuyerera Kudzora Pini, Inotsigira anosvika gumi nemaviri Mbps

    - Imwe Yakagadziridzwa Capture (eCAP) Module

    - Maviri MII Ethernet Ports anotsigira Industrial Ethernet, seEtherCAT

    - Imwe MDIO Port

    • Simba, Reset, uye Clock Management (PRCM) Module

    -Inodzora Kupinda uye Kubuda kweKumira-By uye Kudzika-Kurara Modes

    -Ino nebasa reKurara Kurongeka, Simba Domain Kudzima-Kudzima Sequencing, Wake-Up Sequencing, uye Simba Domain Switch-On Sequencing.

    – Wachi

    - Yakabatanidzwa 15- kusvika 35-MHz Yakakwira-Frequency Oscillator Inoshandiswa Kugadzira Reference Clock kune Yakasiyana System uye Peripheral wachi.

    -Inotsigira Yega Clock Inogonesa uye Dzima Kudzora kune Subsystems uye Peripherals kufambisa Kuderedza Kushandiswa Kwesimba

    -Mashanu ADPLLs Kugadzira System Wachi (MPU Subsystem, DDR Interface, USB uye Peripherals [MMC uye SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], LCD Pixel Clock)

    – Simba

    -Maviri Masimba Masimba Asingachinjike (Chaiyo-Nguva Clock [RTC], Wake-Up Logic [WAKEUP])

    -Matatu Anochinjika Simba Domains (MPU Subsystem [MPU], SGX530 [GFX], Peripherals uye Infrastructure [PER])

    -Inomisikidza SmartReflex™ Kirasi 2B yeCore Voltage Kuyera Kubva pane Kufa Tembiricha, Kuchinja kweMaitiro, uye Kuita (Adaptive Voltage Scaling [AVS])

    -Dynamic Voltage Frequency Scaling (DVFS)

    •Chaiyo-Nguva Clock (RTC)

    -Chaiyo-Nguva Zuva (Zuva-Mwedzi-Gore-Zuva reSvondo) uye Nguva (Maawa-Maminiti-Masekonzi) Ruzivo

    - Yemukati 32.768-kHz Oscillator, RTC Logic uye 1.1-V Yemukati LDO

    - Yakazvimirira Power-on-Reset (RTC_PWRONRSTn) Input

    - Yakatsaurirwa Pini yekupinda (EXT_WAKEUP) yeEkunze Wake Zviitiko

    -Programmable Alarm Inogona Kushandiswa Kugadzira Yemukati Kuvhiringidza kuPRCM (yeKumuka) kana Cortex-A8 (yeChiitiko Chiziviso)

    -Programmable Alarm Inogona Kushandiswa Nekunze Kwekubuda (PMIC_POWER_EN) Kugonesa iyo Power Management IC kudzoreredza Non-RTC Power Domains.

    • Peripherals

    - Kusvika maviri USB 2.0 High-Speed ​​DRD (Dual-Role Chishandiso) Ports Ine Yakabatanidzwa PHY

    - Kusvika maviri Maindasitiri Gigabit Ethernet MACs (10, 100, 1000 Mbps)

    – Integrated Switch

    -MAC yega yega Inotsigira MII, RMII, RGMII, uye MDIO Interfaces

    -Ethernet MACs uye Shandura Inogona Kushanda Yakazvimirira Yemamwe Mabasa

    - IEEE 1588v1 Precision Nguva Protocol (PTP)

    -Kusvika maviri Controller-Nzvimbo Network (CAN) Ports

    -Inotsigira CAN Shanduro 2 Zvikamu A uye B

    -Kusvika maviri Multichannel Audio Serial Ports (McASPs)

    - Tumira uye Gamuchira wachi kusvika ku50 MHz

    -Kusvika mana Serial Data Pini paMcASP Port Ine Yakazvimirira TX uye RX Wachi

    -Inotsigira Nguva Yekuparadzanisa Multiplexing (TDM), Inter-IC Ruzha (I2S), uye Mafomati akafanana

    -Inotsigira Digital Audio Interface Transmission (SPDIF, IEC60958-1, uye AES-3 Mafomati)

    - FIFO maBuffers ekufambisa uye kugashira (256 Bytes)

    - Anosvika matanhatu maUART

    - Yese maUART anotsigira IrDA uye CIR Modes

    - Yese maUART anotsigira RTS uye CTS Kuyerera Kudzora

    - UART1 Inotsigira Yakazara Modem Kudzora

    -Kusvika kune Vaviri Tenzi uye Muranda McSPI Serial Interfaces

    -Kusvika maviri Chip Sarudzo

    - Kusvika ku48 MHz

    -Kusvika kuMatatu MMC, SD, SDIO Ports

    - 1-, 4- uye 8-Bit MMC, SD, SDIO Modes

    - MMCSD0 ine Yakatsaurirwa Simba Rail ye1.8‑V kana 3.3-V Kushanda

    -Kusvika ku48-MHz Dhata Yekutamisa mwero

    -Inotsigira Kadhi Kuona uye Nyora Dziviriro

    -Inoenderana neMMC4.3, SD, SDIO 2.0 Zvinotsanangurwa

    -Kusvika kuVatatu I 2C Master uye Slave Interfaces

    - Yakajairwa modhi (kusvika ku100 kHz)

    -Kukurumidza Modhi (kusvika ku400 kHz)

    -Kusvika kuMabhangi mana eGeneral-Purpose I/O (GPIO) Pini

    - 32 GPIO Pini paBhangi (Multiplexed Nemamwe Mapini Anoshanda)

    - GPIO Pini Inogona Kushandiswa SeInovhiringidza Yekupinza (kusvika Kaviri Kukanganisa Inputs paBhangi)

    -Kusvika Kutatu Zvekunze DMA Chiitiko Inputs iyo inogona Kushandiswa zvakare seKukanganisa Inputs

    -Eight 32-Bit General-Purpose Timers

    - DMTIMER1 ndeye 1-ms Timer Inoshandiswa kune Operating System (OS) Matikiti

    – DMTIMER4–DMTIMER7 dzakapinwa kunze

    - Imwe Watchdog Timer

    - SGX530 3D Graphics Injini

    -Tile-Yakavakirwa Architecture Inoendesa anosvika 20 Mamirioni Polygons paSekondi

    - Universal Scalable Shader Injini (USSE) ndeye Multithreaded Injini Inosanganisa Pixel uye Vertex Shader Basa.

    - Yepamberi Shader Feature Yakaiswa mune Yakawandisa yeMicrosoft VS3.0, PS3.0, uye OGL2.0

    -Indasitiri Yakajairwa API Tsigiro yeDirect3D Nhare, OGL-ES 1.1 uye 2.0, uye OpenMax

    -Yakanaka-Yakagadzirwa Basa Kuchinja, Kuyera Kuyera, uye Masimba Masimba

    -Yepamberi Geometry DMA-Inofambiswa Kushanda kune Minimum CPU Kudyidzana

    - Programmable High-Quality Image Anti-Aliasing

    - Yakazara Virtualized Memory Kero yeOs Operation mune Yakabatana Memory Architecture

    • Kutamba Peripherals

    • Imba uye Industrial Automation

    • Consumer Medical Appliances

    • Vadhindi

    • Smart Toll Systems

    • Yakabatanidzwa Vending Machines

    • Kuyera Zvikero

    • Dzidzo Consoles

    • Advanced Toys

    Related Products